adc0832的c语言程序(adc0832编程)

今天给各位分享adc0832的c语言程序的知识,其中也会对adc0832编程进行解释,如果能碰巧解决你现在面临的问题,别忘了关注本站,现在开始吧!

本文目录一览:

1、ADC0832在单片机中程序2、跪求 ADC0832的8位输出转换ASCII码送LCD显示的C语言程序 !3、用C语言编写一段程序,使用查表的方式使单片机控制DAC0832产生一个电压值在0~5V之间的方波。4、用ADC0832将电压转换成角度在液晶上显示的c语言程序……急!那位好心人帮一下…….5、求,光敏电阻和adc0832用单片机控制的c语言程序6、基于ADC0832做的电压表仿真求C语言代码

ADC0832在单片机中程序

/*************************************************/

#include reg52.h

#include intrins.h

#define uchar unsigned char

sbit CS=P3^5;

sbit Clk=P1^6; //时钟

sbit DO=P3^7; //ADC0832输出引脚

sbit DI=P3^6; //ADC0832输入引脚

sbit key=P3^3; //按键

bit keydownflg; //操作位的定义

bit adc_flg;

uchar dat,channel;

uchar key_buffer;

uchar P2_buffer;

uchar Beep_cnt;

uchar disp_cnt;

uchar count4ms;

uchar disp_buff[5]; //数码管显示缓存

uchar codeTab1[]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90,0x88,0x83,0xA7,0xA1,0x86,0x8E}; //共阳数码表

uchar code Tab[5]={0x7f,0xbf,0xdf,0xef,0xf7}; //数码管位选表

uchar A_D(uchar CH) //AD函数

{

uchar i,adval,test; //定义局部变量并初始化

adval=0x00;

test=0x00;

Clk=0; //clk低电平

DI=1; //DI初始高电平在第一个时钟脉冲的下降沿前保持高电平,表示启动信号

_nop_();

CS=0; //片选

_nop_();

Clk=1; //clk上升沿,起始位写入

_nop_();

if(CH==0x00) //选择通道0

{

Clk=0; //clk低电平

DI=1;

_nop_();

Clk=1; //clk上升沿,通道0的第一位写入

_nop_();

Clk=0;

DI=1;

_nop_();

Clk=1; //clk上升沿,通道0的第二位写入

_nop_();

}

else

{

Clk=0;

DI=1;

_nop_();

Clk=1; //clk上升沿,通道1的第一位写入

_nop_();

Clk=0;

DI=1;

_nop_(); //clk上升沿,通道1的第二位写入

Clk=1;

_nop_();

}

Clk=0;

DI=1;

for(i=0;i8;i++) //从高位向低位读取八位AD值

{

_nop_();

adval=1;

Clk=1;

_nop_();

Clk=0;

if(DO)

adval|=0x01;

else

adval|=0x00;

}

for(i=0;i8;i++)

{

test=1; //从低位向高位读取八位AD值

if(DO)

test|=0x80;

else

test|=0x00;

_nop_();

Clk=1;

_nop_();

Clk=0;

}

if(adval==test) dat=test; //判断两个读取值是否相等相等就把读取的数赋值给DAT

_nop_();

CS=1;

DO=1;

Clk=1;

return dat;

}

void FillDispBuffer(void) //数码管显示缓存函数

{

disp_buff[0]=channel; //显示通道

disp_buff[1]=12; //显示”C”

disp_buff[2]=dat/51; //显示个位

disp_buff[3]=dat%51*10/51; //显示十分位

disp_buff[4]=((dat%51)*10%51)*10/51; //显示百分位

}

void dealkey(void) //按键处理

{

if(keydownflg) return; //keydownflg控制位为1,不对按键进行处理

key_buffer=P2;

if((key_buffer0x80)!=0x80) channel=0; //选择通道0

if((key_buffer0x40)!=0x40) channel=1; //选择通道1

FillDispBuffer(); //数码管显示缓存

Beep_cnt=0;

keydownflg=1; //keydownflg控制位置1

}

void main(void) //主函数

{

P0=0xff; //初始化

P2=0xff;

dat=0x00;

disp_cnt=0;

count4ms=0;

channel=0;

TMOD=0x10;

TH0=(65535-4000)/256;

TL0=(65535-4000)%256;

EA=1;

TR0=1;

ET0=1;

while(1)

{

if(adc_flg) //ADC转换控制位,防止输入与输出产生冲突

{

adc_flg=0;

A_D(channel); //ADC函数

FillDispBuffer(); //数码管显示缓存

}

if(!key) //按键

dealkey();

}

}

void T0_service(void)interrupt 1 //定时器0中断子函数

{

TH0=(65535-4000)/256;

TL0=(65535-4000)%256;

P2_buffer=Tab[disp_cnt]; //查表,数码管的位选择

if(keydownflg) //蜂鸣器0.4s的短时间鸣叫

{

P2_buffer=P2_buffer0xfe;

Beep_cnt++;

if(Beep_cnt==100) keydownflg=0;

}

P2=P2_buffer; //数码管显示数字符号

if(disp_cnt==2) //第三位数码管显示小数点

P0=Tab1[disp_buff[disp_cnt]]0x7f;

else

P0=Tab1[disp_buff[disp_cnt]];

disp_cnt++; //

if(disp_cnt==5) disp_cnt=0;

count4ms++;

if(count4ms==50) //0.2s ADC转换一次

{

adc_flg=1;

count4ms=0;

}

}

adc0832的c语言程序(adc0832编程)

跪求 ADC0832的8位输出转换ASCII码送LCD显示的C语言程序 !

我贴两个头文件

ADC0832.h

#ifndef _ADC0832_H_

#define _ADC0832_H_

#define uchar unsigned char

#define uint unsigned int

#define channel_0 0x02 //单通道0输入选择

#define channel_1 0x03 //单通道1输入选择

/***************************************************/

/* 位定义 */

/***************************************************/

sbit ADC_DI = P2^3; //数据输入输出复用

sbit ADC_DO = P2^3; //DI和DO端都接在P1.0

sbit ADC_CLK= P2^4; //时钟端

sbit ADC_CS = P2^5; //片选端

sbit ACC0=ACC^0; //通道与输入方式控制字

sbit ACC1=ACC^1; //通道与输入方式控制字

/***************************************************/

/* 函数声明 方便查阅 */

/***************************************************/

//uchar ADC_read(uchar mode);//AD采集

//mode可选 channel_0(通道0)channel_1(通道1) 或 其他方式

/********************************************************************/

/* 启动ADC转换 */

/********************************************************************/

void ADC_start()

{

ADC_CS=1; //一个转换周期开始

_nop_();

ADC_CLK=0;

_nop_();

ADC_CS=0; //CS置0,片选有效

_nop_();

ADC_DI=1; //DI置1,起始位

_nop_();

ADC_CLK=1; //第一个脉冲

_nop_();

ADC_DI=0; //在负跳变之前加一个DI反转操作

_nop_();

ADC_CLK=0;

_nop_();

}

/********************************************************/

/* 函数功能: AD转换 */

/* 选择输入通道,输入信号的模式《单端输入,或差分输入》 */

/* 入口参数: mode(采集通道或方式)*/

/* 返回值 : ACC (电压转换后的数字量)*/

/********************************************************/

uchar ADC_read(uchar mode)

{

uchar i;

ADC_start(); //启动转换开始

ACC=mode;

ADC_DI=ACC1; //输出控制位1,DI=1,单通道输入,DI=0,差分输入。

ADC_CLK=1; //第二个脉冲

_nop_();

ADC_DI=0;

ADC_CLK=0;

_nop_();

ADC_DI=ACC0; //输出控制位0,DI=0,通道0输入,DI=1,通道1输入。

ADC_CLK=1; //第三个脉冲

_nop_();

ADC_DI=1;

ADC_CLK=0; //输入模式和通道号已经选择完。

ADC_CLK=1; //第四个脉冲

ACC=0;

for(i=8;i0;i–) //读取8位数据

{

ADC_CLK=0; //脉冲下降沿

ACC=ACC1;

ACC0=ADC_DO; //读取DO端数据

_nop_();

_nop_();

ADC_CLK=1;

}

ADC_CS=1; //CS=1,片选无效。

return(ACC);

}

#endif

1602.H

#ifndef _1602_H_

#define _1602_H_

#define uchar unsigned char

#define uint unsigned int

/*******************************************/

/* 位定义 */

/*******************************************/

sbit RS=P2^0;//

sbit RW=P2^1;//

sbit E=P2^2;//

/*******************************************/

/* 函数声明 方便查阅 */

/*******************************************/

//void init();//1602初始化

//void zhiling(uchar dat);//写命令第一行地址0x80~0x8f第二行地址0xc0~0xcf

//void shuju(uchar dat);//写数据显示为ASCLL码字符

/************************************************/

/* 函数功能: 延时子函数 */

/* 入口参数: ms */

/************************************************/

void delay_1602(uint ms)

{

uchar time;

while(ms–)

{

for(time = 0; time 125; time++);

}

}

/********************************************/

/* 函数功能: 写命令函数*/

/* 入口参数: dat(待写入的数据)*/

/********************************************/

void zhiling(uchar dat)

{

E=0;RS=0;RW=0; //写命令

E=1;

P0=dat;

delay_1602(10); //延时 5ms

E=0;

delay_1602(10); //延时 5ms

}

/********************************************/

/* 函数功能: 写数据函数*/

/********************************************/

void shuju(uchar dat)

{

E=0;RS=1;RW=0; //写数据

E=1;

P0=dat;

delay_1602(10); //延时 5ms

E=0;

delay_1602(10); //延时 5ms

}

/********************************************/

/* 函数功能: 1602初始化函数*/

/********************************************/

void init() //初始化

{

zhiling(0x01); //清屏

zhiling(0x38); //功能设置

zhiling(0x0e); //开/关显示设置

zhiling(0x06); //输入方式设置(左移)

}

#endif

你要的ASC码很简单,这么做,

zhiling(0x80);//地址

shuju(6+’0′);//假如你要显示6,6+’0’也就是6加上0的ASC码自然就转换成6的ASC码了

如果是66

你在显示前线换算 66/10 和 66%10,就会分别得到10位和个位,楼主自己举一反三了。

用C语言编写一段程序,使用查表的方式使单片机控制DAC0832产生一个电压值在0~5V之间的方波。

#includereg52.h //包含头文件

#includeintrins.h

#define uchar unsigned char //宏定义

#define uint unsigned int

sbit s1=P3^5; //定义按键的接口

sbit s2=P3^6;

sbit s3=P3^7;

sbit s4=P3^4;

sbit s5=P2^3;

sbit led0=P3^0; //定义四个LED,分别表示不同的波形

sbit led1=P3^1;

sbit led2=P3^2;

sbit led3=P3^3;

sbit lcdrs=P2^7; //液晶控制引脚,还有一个控制脚是RW,因为我们只需要向液晶里写数据系那是就好了,所以,我们直接将RW引脚接地

sbit lcden=P2^6;

char num,boxing,u; //定义全局变量

uchar pinlv=100,bujin=1,bujin1=1; //频率初始值是10Hz,步进值默认是0.1,显示步进值变量

uchar code table[]=”0123456789″; //定义显示的数组

uchar code table1[]=”Fout= Wave form:”; //初始化显示字符

unsigned int m,pwm=50; //定义变量 m

int a,b,h,num1; //定义全局变量

//自定义字符

uchar code zifu[]={ //此数组内数据为液晶上显示波形符号的自定义字符

0x0e,0x11,0x11,0x00,0x00,0x00,0x00,0x00,

0x00,0x00,0x00,0x00,0x11,0x11,0x0e,0x00, //正弦波 0 1

0x00,0x07,0x04,0x04,0x04,0x04,0x1c,0x00,

0x00,0x1c,0x04,0x04,0x04,0x04,0x07,0x00, //矩形波 2 3

0x00,0x01,0x02,0x04,0x08,0x10,0x00,0x00,

0x00,0x10,0x08,0x04,0x02,0x01,0x00,0x00, //三角波 4 5

0x00,0x01,0x03,0x05,0x09,0x11,0x00,0x00, //锯齿波 6

};

uchar code sin[64]={ //此数组内的数据为,da输出对应电压值对应的数字量,0是0V,255是5V

135,145,158,167,176,188,199,209,218,226,234,240,245,249,252,254,254,253,251,247,243,237,230,222,213,204,193,182,170,158,

146,133,121,108,96,84,72,61,50,41,32,24,17,11,7,3,1,0,0,2,5,9,14,20,28,36,45,55,66,78,90,102,114,128

}; //正弦波取码

uchar code juxing[64]={ //一个周期是采样64个点, 所以数组内是64个数据

255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,

255,255,255,255,255,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0

}; //矩形波取码

uchar code sanjiao[64]={

0,8,16,24,32,40,48,56,64,72,80,88,96,104,112,120,128,136,144,152,160,168,176,184,192,200,208,216,224,232,240,248,

248,240,232,224,216,208,200,192,184,176,168,160,152,144,136,128,120,112,104,96,88,80,72,64,56,48,40,32,24,16,8,0

}; //三角波取码

uchar code juchi[64]={

0,4,8,12,16,20,24,28,32,36,40,45,49,53,57,61,65,69,73,77,81,85,89,93,97,101,105,109,113,117,121,125,130,134,138,142,

146,150,154,158,162,166,170,174,178,182,186,190,194,198,202,206,210,215,219,223,227,231,235,239,243,247,251,255

}; //锯齿波取码

void delay(uint xms) //延时函数

{

int a,b;

for(a=xms;a0;a–)

for(b=110;b0;b–);

}

void write_com(uchar com) //写命令函数

{

lcdrs=0;

P0=com;

delay(1);

lcden=0;

delay(1);

lcden=1;

}

void write_date(uchar date) //写数据函数

{

lcdrs=0;

P0=date;

delay(1);

lcden=0;

delay(1);

lcden=1;

}

//自定义字符集

void Lcd_ram()

{

uint i,j,k=0,temp=0x04;

for(i=0;i7;i++)

{

for(j=0;j8;j++)

{

write_com(temp+j);

write_date(zifu[k]);

k++;

}

temp=temp+8;

}

}

void init_lcd() //初始化函数

{

uchar i;

lcden=0; //默认开始状态为关使能端,见时序图

Lcd_ram();

write_com(0x01); //显示清屏,将上次的内容清除,默认为0x01.

write_com(0x0f);

write_com(0x38); //显示模式设置,默认为0x38,不用变。

write_com(0x0c); //显示功能设置0x0f为开显示,显示光标,光标闪烁;0x0c为开显示,不显光标,光标不闪

write_com(0x06); //设置光标状态默认0x06,为读一个字符光标加1.

write_com(0x80); //设置初始化数据指针,是在读指令的操作里进行的

for(i=10;i20;i++) //显示初始化

{

write_date(table1[i]); //显示第一行字符

}

write_com(0x80+40); //选择第二行

for(i=0;i9;i++)

{

write_date(table1[i]); //显示第二行字符

}

write_com(0x80+10); //选择第一行第十个位置

write_date(0);

write_date(1);

write_date(0);

write_date(1);

write_date(0);

write_date(1); //显示自定义的波形图案

write_com(0x80+40+9); //选择第二行第九个位置

write_date(‘ ‘);

write_date(‘1’);

write_date(‘0’);

write_date(‘.’);

write_date(‘0’);

write_date(‘H’);

write_date(‘z’); //显示初始的频率值

}

void initclock() //定时器初始化函数

{

TMOD=0x01; //定时器的工作方式

TH0=a;

TL0=b; //定时器赋初值

EA=1; //打开中断总开关

ET0=1; //打开定时器允许中断开关

TR0=1; //打开定时器定时开关

}

void display() //显示函数

{

uchar qian,bai,shi,ge; //定义变量用于显示

qian=pinlv/1000; //将频率值拆成一位的数据,将数据除以1000,得到的商是一位数,赋值给qian

bai=pinlv%1000/100; //将频率除以1000的余数再除以100就得到了频率的百位,赋值给bai

shi=pinlv%1000%100/10; //同上,得到频率的十位

ge=pinlv%1000/100%10;

write_com(0x80+40+9); //选中第二行第九个位置

if(qian==0) //千位如果为0

write_date(‘ ‘); //不显示

else //千位不为0

write_date(table[qian]); //正常显示千位

if(qian==0bai==0) //千位和百位都为0

write_date(‘ ‘); //百位不显示

else //不都为0

write_date(table[bai]); //百位正常显示

write_date(table[shi]); //显示十位数

write_date(‘.’); //显示小数点

write_date(table[ge]); //显示个位

write_date(‘H’); //显示频率的单位Hz

write_date(‘z’);

if(boxing==0) //判断波形为正弦波

{

write_com(0x80+10); //选中一行频率图案位置

write_date(0); //显示正弦波图案

write_date(1);

write_date(0);

write_date(1);

write_date(0);

write_date(1);

led3=1;

led0=0; //点亮正弦波指示灯

}

if(boxing==1) //注释同上

{

write_com(0x80+10);

write_date(2);

write_date(3);

write_date(2);

write_date(3);

write_date(2);

write_date(3);

led0=1;

led1=0;

}

if(boxing==2)

{

write_com(0x80+10);

write_date(4);

write_date(5);

write_date(4);

write_date(5);

write_date(4);

write_date(5);

led1=1;

led2=0;

}

if(boxing==3)

{

write_com(0x80+10);

write_date(6);

write_date(6);

write_date(6);

write_date(6);

write_date(6);

write_date(6);

led2=1;

led3=0;

}

}

void keyscan() //频率调节键盘检测函数

{

if(s1==0) //加按键是否按下

{

EA=0; //关闭中断

while(!s1); //按键松开

pinlv+=bujin; //频率以步进值加

if(pinlv1000) //最大加到100Hz

{

pinlv=100; //100Hz

}

display(); //显示函数

m=65536-(15000/pinlv);//计算频率

/*频率值最小是10Hz,pinlv的值是100(因为要显示小数点后一位),150000/100=1500,这个1500就是定时器需要计时的,单位是us,65536-1500得到的是定时器的初值,

先不管初值,先看定时时间,1500us,一个波形的周期是由64个定时组成的,所以,一个波形周期就是64*1500us=96000,也就是96ms,约等

于100ms,也就是10Hz的频率*/

a=m/256; //将定时器的初值赋值给变量

b=m%256;

EA=1; //打开中断总开关

}

if(s2==0) //减按键按下

{

EA=0;

while(!s2);

pinlv-=bujin; //频率以步进值减

if(pinlv100)

{

pinlv=100;

}

display();

m=65536-(15000/pinlv);

a=m/256;

b=m%256;

EA=1;

}

if(s3==0) //波形切换按键

{

EA=0;

while(!s3);

boxing++; //波形切换

if(boxing=4) //4种波形

{

boxing=0;

}

display();

EA=1;

}

if(s5==0) //PWM切换按键

{

EA=0;

while(!s5);

pwm+=10;

if(pwm90)

{

pwm=10;

}

// display();

EA=1;

}

}

void bujindisplay() //步进值设置界面显示程序

{

uint bai,shi,ge; //定义步进值 百十个位

bai=bujin1/100; //将步进值除以100得到百位,也就是频率值的十位,因为有一个小数位

shi=bujin1%100/10; //将步进值除以100的余数除以十得到十位

ge=bujin1%100%10; //取余10后得到个位,也就是频率步进值的小数点后一位

write_com(0x80+11); //选中液晶第一行第十一列

if(bai==0) //百位是否为0

write_date(‘ ‘); //百位不显示

else //百位不为0

write_date(table[bai]); //显示百位数据

write_date(table[shi]); //显示十位数据

write_date(‘.’); //显示小数点

write_date(table[ge]); //显示个位,也就是小数点后一位

}

void bujinjiance() //步进值设置键盘程序

{

if(s4==0) //步进设置按键按下

{

delay(5); //延时去抖

if(s4==0) //再次判断按键

{

while(!s4); //按键释放,按键松开才继续向下执行

h++; //变量加

if(h==1) //进入设置状态时

{

write_com(0x01); //清屏

write_com(0x80); //初始化显示步进设置界面

write_date(‘S’);delay(1); //step value

write_date(‘t’);delay(1);

write_date(‘e’);delay(1);

write_date(‘p’);delay(1);

write_date(‘ ‘);delay(1);

write_date(‘v’);delay(1);

write_date(‘a’);delay(1);

write_date(‘l’);delay(1);

write_date(‘u’);delay(1);

write_date(‘e’);delay(1);

write_date(‘:’);delay(1);

bujin1=bujin; //步进值赋值给临时变量

bujindisplay(); //显示步进值

}

if(h==2) //退出设置

{

h=0; //清零

bujin=bujin1; //设置好的临时步进值赋值给步进变量

init_lcd(); //初始化液晶显示

initclock(); //定时器初始化

display(); //调用显示程序

}

}

}

if(h==1) //设置步进值时

{

if(s1==0) //加按键按下

{

delay(5); //延时去抖

if(s1==0) //再次判断

{

while(!s1); //按键释放

bujin1++; //步进值加1

if(bujin1=101) //步进值最大100,也就是10.0Hz

{

bujin1=1; //超过最大值就恢复到0.1Hz

}

bujindisplay(); //步进显示

}

}

if(s2==0) //减按键,注释同上

{

delay(5);

if(s2==0)

{

while(!s2);

bujin1–; //步进减

if(bujin1=0)

{

bujin1=100;

}

bujindisplay();

}

}

}

}

void main() //主函数

{

init_lcd(); //调用初始化程序

m=65536-(15000/pinlv); //定时器初值

a=m/256;

b=m%256;

initclock(); //定时器初始化

led0=0; //点亮第一个波形指示灯

while(1) //进入while循环,括号内为1,一直成立,所以也叫死循环,程序不会跳出,一直在内执行

{

if(h==0) //正常模式不是步进调节

{

keyscan(); //扫描按键

// display();

}

bujinjiance(); //扫描步进调节程序

switch(boxing) //选择波形

{

case 0 : P1=sin[u]; break; //正弦波

case 1 : //矩形波

if(upwm*64/100)P1=255;

else

P1=0;

break;

case 2 : P1=sanjiao[u]; break; //三角波

case 3 : P1=juchi[u]; break; //锯齿波

}

}

}

void T0_time()interrupt 1 //定时器

{

TH0=a;

TL0=b;

u++; //变量加

if(u=64) //一个周期采样64个点, 所以加到64就清零

u=0; //u清零

//根据不同的初值,定时器定时时间不同,达到不同频率的目的

}

用ADC0832将电压转换成角度在液晶上显示的c语言程序……急!那位好心人帮一下…….

显示频率,幅度可调,可产生四种波形,正弦波,方波,锯齿波,三角波,希望你能喜欢,给你发了一张效果图,喜欢的话别忘了采纳我的回答啊

#includereg52.h

#define uchar unsigned char

#define uint unsigned int

#define DAdata P0//DA数据端口

sbit DA_S1= P2^0; // 控制DAC0832的8位输入寄存器,仅当都为0时,可以输出数据(处于直通状态),否则,输出将被锁存

sbit DA_S2= P2^1; // 控制DAC0832的8位DAC寄存器,仅当都为0时,可以输出数据(处于直通状态),否则,输出将被锁存

sbit key= P3^2;

uchar wavecount; //’抽点’计数

uchar THtemp,TLtemp;//传递频率的中间变量

uchar judge=1; //在方波输出函数中用于简单判别作用

uchar waveform; //当其为0、1、2时,分别代表三种波

uchar code freq_unit[3]=; //三种波的频率单位

uchar idata wavefreq[3]=; //给每种波定义一个数组单元,用于存放单位频率的个数

uchar code lcd_hang1[]=;

uchar idata lcd_hang2[16]=;

uchar code waveTH[]={

0xfd,0xfe,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,

0xfd,0xfe,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,

0xec,0xf6,0xf9,0xfb,0xfc,0xfc,0xfd,0xfd,0xfd,0xfe};

uchar code waveTL[]={

0x06,0x8a,0x10,0x4e,0x78,0x93,0xa8,0xb3,0xbe,0xc6, //正弦波频率调整中间值

0xac,0xde,0x48,0x7a,0x99,0xaf,0xbb,0xc8,0xd0,0xde,//三角波频率调整中间值

0x88,0x50,0x90,0x32,0x34,0xbe,0x4a,0xa3,0xe5,0x2c};

/*************************************************************************************************/

uchar code triangle_tab[]={ //每隔数字8,采取一次

0x00,0x08,0x10,0x18,0x20,0x28,0x30,0x38,0x40,0x48,0x50,0x58,0x60,0x68,0x70,0x78,

0x80,0x88,0x90,0x98,0xa0,0xa8,0xb0,0xb8,0xc0,0xc8,0xd0,0xd8,0xe0,0xe8,0xf0,0xf8,0xff,

0xf8,0xf0,0xe8,0xe0,0xd8,0xd0,0xc8,0xc0,0xb8,0xb0,0xa8,0xa0,0x98,0x90,0x88,0x80,

0x78,0x70,0x68,0x60,0x58,0x50,0x48,0x40,0x38,0x30,0x28,0x20,0x18,0x10,0x08,0x00};

uchar code sine_tab[256]={

//输出电压从0到最大值(正弦波1/4部分)

0x80,0x83,0x86,0x89,0x8d,0x90,0x93,0x96,0x99,0x9c,0x9f,0xa2,0xa5,0xa8,0xab,0xae,0xb1,0xb4,0xb7,0xba,0xbc,

0xbf,0xc2,0xc5,0xc7,0xca,0xcc,0xcf,0xd1,0xd4,0xd6,0xd8,0xda,0xdd,0xdf,0xe1,0xe3,0xe5,0xe7,0xe9,0xea,0xec,

0xee,0xef,0xf1,0xf2,0xf4,0xf5,0xf6,0xf7,0xf8,0xf9,0xfa,0xfb,0xfc,0xfd,0xfd,0xfe,0xff,0xff,0xff,0xff,0xff,0xff,

//输出电压从最大值到0(正弦波1/4部分)

0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0xfd,0xfd,0xfc,0xfb,0xfa,0xf9,0xf8,0xf7,0xf6,0xf5,0xf4,0xf2,0xf1,0xef,

0xee,0xec,0xea,0xe9,0xe7,0xe5,0xe3,0xe1,0xde,0xdd,0xda,0xd8,0xd6,0xd4,0xd1,0xcf,0xcc,0xca,0xc7,0xc5,0xc2,

0xbf,0xbc,0xba,0xb7,0xb4,0xb1,0xae,0xab,0xa8,0xa5,0xa2,0x9f,0x9c,0x99 ,0x96,0x93,0x90,0x8d,0x89,0x86,0x83,0x80,

//输出电压从0到最小值(正弦波1/4部分)

0x80,0x7c,0x79,0x76,0x72,0x6f,0x6c,0x69,0x66,0x63,0x60,0x5d,0x5a,0x57,0x55,0x51,0x4e,0x4c,0x48,0x45,0x43,

0x40,0x3d,0x3a,0x38,0x35,0x33,0x30,0x2e,0x2b,0x29,0x27,0x25,0x22,0x20,0x1e,0x1c,0x1a,0x18,0x16 ,0x15,0x13,

0x11,0x10,0x0e,0x0d,0x0b,0x0a,0x09,0x08,0x07,0x06,0x05,0x04,0x03,0x02,0x02,0x01,0x00,0x00,0x00,0x00,0x00,0x00,

//输出电压从最小值到0(正弦波1/4部分)

0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x02 ,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0a,0x0b,0x0d,0x0e,0x10,

0x11,0x13,0x15 ,0x16,0x18,0x1a,0x1c,0x1e,0x20,0x22,0x25,0x27,0x29,0x2b,0x2e,0x30,0x33,0x35,0x38,0x3a,0x3d,

0x40,0x43,0x45,0x48,0x4c,0x4e,0x51,0x55,0x57,0x5a,0x5d,0x60,0x63,0x66 ,0x69,0x6c,0x6f,0x72,0x76,0x79,0x7c,0x80};

void delay(uchar z)

{

uint x,y;

for(x=z;x0;x–)

for(y=110;y0;y–);

}

void triangle_out()//三角波输出

{

DAdata=triangle_tab[wavecount++];

if(wavecount64) wavecount=0;

DA_S1=0; //打开8位输入寄存器

DA_S1=1; //关闭8位输入寄存器

}

void sine_out() //正弦波输出

{

DAdata=sine_tab[wavecount++];

DA_S1=0; //打开8位输入寄存器

DA_S1=1; //关闭8位输入寄存器

}

void square_out() //方波输出

{

judge=~judge;

if(judge==1) DAdata=0xff;

else DAdata=0x00;

DA_S1=0; //打开8位输入寄存器

DA_S1=1; //关闭8位输入寄存器

}

/************1602液晶的相关函数*************/

#define lcd_ports P1

sbit rs=P2^2;

sbit rw=P2^3;

sbit lcden=P2^4;

void write_com(uchar com)

{

rs=0;//置零,表示写指令

lcden=0;

lcd_ports=com;

delay(5);

lcden=1;

delay(5);

lcden=0;

}

void write_date(uchar date)

{

rs=1;//置1,表示写数据(在指令所指的地方写数据)

lcden=0;

lcd_ports=date;

delay(5);

lcden=1;

delay(5);

lcden=0;

}

void disp_lcd(uchar addr,uchar *temp1)

{

uchar num;

write_com(addr);

delay(1); //延时一会儿???

for(num=0;num16;num++)

{

write_date(temp1[num]);//或者这样写write_date(*(temp1+num));

delay(1);

}

}

void init_lcd()

{

//uchar num;

lcden=0; //可有可无???

rw=0; //初始化一定要设置为零,表示写数据

write_com(0x38); //使液晶显示点阵,为下面做准备

write_com(0x0c); //初始设置

write_com(0x06); //初始设置

write_com(0x01); //清零

write_com(0x80); //使指针指向第一行第一格

disp_lcd(0x80,lcd_hang1[3*16]); //在第一行显示

disp_lcd(0xc0,lcd_hang1[4*16]); //在第二行显示

}

/********************1602液晶函数声明结束*********************/

void main()

{

uchar i=0;

DA_S2=0; //使DAC寄存器处于直通状态

DAdata=0;

DA_S1=1; //关闭8位输入寄存器

init_lcd();

waveform=0;

TMOD=0x01; //设置定时器0为16位工作方式

IT0=1; //设置外部中断0为下降沿触发

ET0=1; //开定时器中断

EX0=1;

EA=1;

while(1)

{

//DAout(0xff); //可输出TTL波形

//DAout(0x80);

//T_temp=32;

}

}

void timer0() interrupt 1

{

TH0=THtemp;

TL0=TLtemp;

if(waveform==0) sine_out();

else if(waveform==1) triangle_out();

else if(waveform==2) square_out();

}

void key_int0() interrupt 0

{

uchar keytemp;

uint total_freq; //总频率

EA=0; TR0=0; //关总中断与定时器

delay(5); //延时够吗???

if(key==0) //确实有按键按下而引发中断

{

keytemp=P30xf0; //获取P3口高四位的值

switch(keytemp)

{

case 0xe0://选择波形

waveform++;

if(waveform2) waveform=0;

break;

case 0xd0: //频率按规定单位依次增加

wavefreq[waveform]++;

if(wavefreq[waveform]10) wavefreq[waveform]=1; // /*这边要用“10”,因为它比“=11”可靠

break; // 性更高,使加数有个上限,不会一直加下去*/

case 0xb0: //频率按规定单位依次衰减

wavefreq[waveform]–;

if(wavefreq[waveform]1) wavefreq[waveform]=10; //这边要用“1”,因为它比“=0”可靠性更高

break;

case 0x70: //TTL输出

DA_S2=1; //使DAC寄存器关闭

break;

}

THtemp=waveTH[waveform*10+(wavefreq[waveform]-1)]; //方括号中选取第几个数后,并把该值赋给T_temp

TLtemp=waveTL[waveform*10+(wavefreq[waveform]-1)];

total_freq= wavefreq[waveform] * freq_unit[waveform]; //求输出频率(个数*单位)

lcd_hang2[5]=total_freq%10+0x30; //在液晶中显示个位,(0x30 在液晶显示中表示数字0)

total_freq/=10; lcd_hang2[4]=total_freq%10+0x30; //在液晶中显示时十位

total_freq/=10; lcd_hang2[3]=total_freq%10+0x30; //在液晶中显示时百位

total_freq/=10; lcd_hang2[2]=total_freq%10+0x30; //在液晶中显示时千位

disp_lcd(0x80,lcd_hang1[waveform*16]); //在第一行显示

disp_lcd(0xc0,lcd_hang2); //在第二行显示

}

wavecount=0; //’抽点’计数清零

while(!key);

EA=1; TR0=1; //开启总中断与定时器

}

求,光敏电阻和adc0832用单片机控制的c语言程序

//******************adc0832****************************// sbit CS=P1^0; //使能。 sbit CLK=P1^1;//时钟 sbit Do=P1^2; // 数据输出 sbit Di=P1^2;//数据输入 unsigned char CH=0x02;

//通道的选择:0x02就是单通道0;0x03就是单通道1; //0x00就是双通道ch0=“+”; ch0=“-” //0x01就是双通道ch0=“-”; ch0=“+”

//*****************************************************// unsigned char ADconv(void) {unsigned char i;

unsigned int data_f=0,data_c=0; Di=1; CS=1; _nop_(); CS=0;

Di=1; //芯片使能之前的初始化。第一个下降沿 CLK=1; _nop_(); _nop_();

/****************************************/ CLK=0; // 确定通道模式、第2个下降沿 _nop_(); _nop_(); CLK=1;

Di=(bit)(0x02CH); //设定通道初始化 _nop_(); CLK=0; _nop_(); _nop_(); CLK=1;

Di=(bit)(0x01CH); //设定通道初始化 .第3个下降沿 _nop_();

_nop_();

CLK=0; //AD转化的初始化完成。 Di=1; CLK=1; _nop_(); _nop_(); CLK=0; _nop_(); CLK=1;

for(i=8;i0;i–)//得到一个正常排序的8位数据 {

data_f|=Do; data_f=1; CLK=1; _nop_(); _nop_(); CLK=0; _nop_(); }

for(i=8;i0;i–)//得到一个反序排列的8位数据 {

data_c=1; data_c|=Do; _nop_(); CLK=1; _nop_(); _nop_(); CLK=0; _nop_(); } CLK=0; _nop_();

_nop_(); CLK=1; _nop_(); _nop_(); CLK=0; _nop_(); _nop_(); CLK=1; _nop_(); CS=1; _nop_(); _nop_(); return data_f; }

基于ADC0832做的电压表仿真求C语言代码

uchar ADC0832_Read(uchar ch)

{

/*读取ADC的 CH 通道电压值,ADC作为2路单独电压输入*/

uchar i;

uchar ADC_buff=0;

uchar temp=0;

EA = 0;

ADC0832_CS = 1;

ADC0832_DIO = 1; /*启动位*/

ADC0832_CLK = 0;

ADC0832_CS = 0;

nop();

ADC0832_CLK = 1;

nop();

ADC0832_CLK = 0;

ADC0832_DIO = 1; /*送 SGL/DIF 位 */

nop();

ADC0832_CLK = 1;

nop();

ADC0832_CLK = 0;

if(ch==0) ADC0832_DIO = 0; /*送转换通道值*/

else ADC0832_DIO = 1;

ADC0832_CLK = 1;

nop();

ADC0832_CLK = 0;

nop();

ADC0832_DIO = 1; /*释放DIO端口,转由ADC控制*/

nop();

ADC0832_CLK = 1;

nop();

for(i=0;i8;i ){ /*准备读取8位数据*/

nop();

ADC0832_CLK = 0;

nop();

nop();

ADC_buff=ADC_buff1;

if(ADC0832_DIO==1) ADC_buff=ADC_buff 1; /*读取数据*/

ADC0832_CLK = 1;

}

for(i=0;i8;i ){

temp = temp1;

if(ADC0832_DIO==1) temp = temp | 0x80; /*读取校验数据*/

ADC0832_CLK = 1;

nop();

ADC0832_CLK = 0;

nop();

}

ADC0832_CS = 1;

ADC0832_CLK = 1;

//if(temp == ADC_buff) ADC_flag = 1;

//else ADC_flag = 0;

EA = 1;

return ADC_buff; /*返回转换值*/

}

关于adc0832的c语言程序和adc0832编程的介绍到此就结束了,不知道你从中找到你需要的信息了吗 ?如果你还想了解更多这方面的信息,记得收藏关注本站。

本文来自投稿,不代表【】观点,发布者:【

本文地址: ,如若转载,请注明出处!

举报投诉邮箱:253000106@qq.com

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2024年4月3日 04:06:00
下一篇 2024年4月3日 04:13:17

相关推荐

  • c语言改写模式,c语言实现修改功能

    c语言程序修改? 1、这个程序有4个错误,我都加粗了,第一个是m没有赋初值,第二个是while表达式中的ch=getchar()需要括号括起来,第三个是m=m*10+ch-0中的0也需要用单引号括起来,第四个是第2个while中为m!=0。 2、define容易造成误会,因为不符合一般的编程习惯,false 0, true 1;scanf放在你的那个地方是达…

    2024年5月23日
    3900
  • c语言控制代码的换码序列,c语言交换代码

    求C语言编程大神解答一下下面这个编程代码? k==5,用5去除125余0,所以r=125%5中r为0。由于!0为1,所以执行while循环体:先打印出5(k的值),再n=n/k==125/5=25;由于251则再打印出*号。这一循环结果输出是5*。 下面是我的代码,三个函数分别对应三个问题。 在实现基本要求的前提下,拓展了可以从键盘输入的功能,以下为各题代码…

    2024年5月23日
    5600
  • c语言扫描io脚状态,c语言端口扫描

    求51单片机的上升沿和下降沿C语言检测程序列子,端口就是普通IO口。 上升沿触发是当信号有上升沿时的开关动作,当电位由低变高而触发输出变化的就叫上升沿触发。也就是当测到的信号电位是从低到高也就是上升时就触发,叫做上升沿触发。 单片机怎么计算1s内下降沿的个数的C语言程序或者计算两个下降沿的时间(检测脉冲频率)计算1s内下降沿的个数方法是,一个定时器设置定时1…

    2024年5月23日
    4400
  • c语言mallloc使用的简单介绍

    C语言中使用malloc必须加#includemallo.h? 1、在C语言中使用malloc函数进行动态内存分配。malloc的全称是memory allocation,中文叫动态内存分配。原型:extern void malloc(unsigned int num_bytes);功能:分配长度为num_bytes字节的内存块。 2、你可以看一下C语言那本…

    2024年5月23日
    4400
  • c语言三位小数,C语言三位小数

    怎样用C++语言输出精确到小数点后三位的数? 1、用C++语言输出精确到小数点后三位的数,可以参考下面给出的代码:coutsetiosflags(ios:fixed)setprecision(3)。其中 setiosflags中set是设置的意思。ios是iostream的缩写,即输入输出流。flags是标志的意思。 2、要精确到小数点后若干位,则数据类型为…

    2024年5月23日
    7200
  • c语言21点游戏,二十一点游戏代码c语言

    如何使用C语言编写简单小游戏? 1、数学知识:长方形的面积S=a*b 长方形周长L=2*(a+b)其中a b分别为长方形的宽和高。算法分析:长方形面积及周长均依赖于宽和高,所以先要输入宽高值,然后根据公式计算,输出结果即可。 2、/*也不知道你是什么级别的,我是一个新手,刚接触编程语言,以下是我自己变得一个小程序,在所有c语言的编译器(vc++0、turbo…

    2024年5月23日
    6300
  • c语言当中的null,C语言当中的符号

    C/C++中,NULL和null的区别是什么? nul 和 null要看编译器,不同的编译器有所区别。 所以C或者C++中都使用一个特殊定义NULL表示无效值,其本质就是未定义具体数据类型的0值。 null是是什么都没有的意思。在java中表示空对象。 本意是“空的;元素只有零的”意思。计算机中通常表示空值,无结果,或是空集合。\x0d\x0a在ASCII码…

    2024年5月23日
    4500
  • 包含c语言对txt文件命名的词条

    如何在C语言编程里面修改源文件名字 如果你是在WINDOWS的话,简单了,随便用个编辑器,比如记事本,然后写c源程序,保存到你想要保存的位置。如果你在DOS下,可以用edit,写好以后,按alt键,选择文件菜单,然后保存。 用open打开文件,注意操作模式使用“修改”或者“添加” 用write或者fprintf向文件中写入你的内容。 用close关闭文件。 …

    2024年5月23日
    4800
  • 学c语言编程,学c语言编程用什么软件

    编程开发必须要学C语言吗? 1、要学习。编程开发的学习内容主要包括c语言、python和c+语言。C语言作为一种简单灵活的高级编程语言,它是一个面向过程的语言,一般是作为计算机专业的基础入门语言课程。 2、C语言。对于刚接触编程的人来说,先学习C语言是非常重要的。C语言可以说是是计算机编程语言的鼻祖,其他的编程语言几乎全是由C语言变化衍生出来的。 3、不需要…

    2024年5月23日
    3400
  • c语言用string定义字符串,c语言中用string类型来处理字符串类型

    C++怎样定义定义字符串 1、第一是字符数组来表示字符串。用下面的语句声明:char a[10];C语言中字符数组与字符串的唯一区别是字符串末尾有一个结束符\0,而字符数组不需要。 2、在C中定义字符串有下列几种形式:字符串常量,char数组,char指针 字符串常量 即:位于一对双括号中的任何字符。双引号里的字符加上编译器自动提供的结束标志\0字符,作为 …

    2024年5月23日
    4300

发表回复

登录后才能评论



关注微信